你的位置:EETOP 赛灵思(Xilinx) 社区 >> >> 全部 >> 详细内容

基于FPGA的SATA控制器

发布者:jackzhang 时间:2010-08-18 21:17:39

随着业界硬件互联结构趋势由并行总线模式向高速串行模式转变,硬盘接口已由ATA并行总线信号模式过渡到SATA串行模式。近年来,XILINX公司高端FPGA器件内集成了高速串行收发器GTP,其内部还集成了为实现SATA协议所定义的OOB信号,8B10B编码等规范所需电路部件。本人最近在研发使用FPGA控制多块磁盘的高带宽磁盘阵系统,目前已使用XILINX VIRTEX5 LXT系列FPGA实现对单块SATA磁盘的读写控制,形成独立的IP。通过在网上搜索,发现有为数不多国外公司发布了SATA控制器IP,国内也有几个朋友发布了相关的论文或成果说明,但是这些文档资料里对各自SATA控制器的接口定义,测试结果的描述都显得较为简略,因此本人特此撰文,把自己所作的一些工作成果呈现出来,与业内的朋友做一些交流,也希望能为对此技术感兴趣的朋友提供一些参考。本文重点放在所实现的IP核的设计理念、接口定义、使用流程定义,IP核测试方法以及使用该IP核对两款硬盘测试的结果上,对于SATA协议本身的内容以及XILINX GTP组件特性等方面的技术知识本文不作专门论

 

基于FPGA的SATA控制器 20100730.pdf(568 KB)

最新课程

  • 深入浅出玩儿转FPGA

    本视频基于Xilinx公司的Artix-7FPGA器件以及各种丰富的入门和进阶外设,提供了一些典型的工程实例,帮助读者从FPGA基础知识、逻辑设计概念

  • 从零开始大战FPGA基础篇

    本课程为“从零开始大战FPGA”系列课程的基础篇。课程通俗易懂、逻辑性强、示例丰富,课程中尤其强调在设计过程中对“时序”和“逻辑”的把控,以及硬件描述语言与硬件电路相对应的“

  • Verilog基础及典型数字

    课程中首先会给大家讲解在企业中一般数字电路从算法到流片这整个过程中会涉及到哪些流程,都分别使用什么工具,以及其中每个流程都分别做了