你的位置:EETOP 赛灵思(Xilinx) 社区 >> >> 全部 >> 详细内容

huxiaokai2005 -【Artix-7开发板试用】Artix-7 50T开发板 (三)开发上手

发布者:jackzhang 时间:2016-09-07 15:46:06

  作者:huxiaokai2005
原文:http://bbs.eetop.cn/thread-610398-1-1.html

封面.jpg



在进行FPGA开发之前,需要安装Xilinx公司的FPGA开发软件。之前的开发软件ISE 从2013年10月起Xilinx方面就不做任何发布更新了,14.7版算是ISE的终极。另外ISE并不支持Virtex-7、Kintex-7、Artix-7、Zynq-7000及更新器件的相关开发,针对Artix-7 50T套件的FPGA开发就交给新一代开发平台Vivado Design Suite,现在最新版本是2016.2。

1.jpg



1. 首先从Xilinx官网下载Vivado Design Suite

(TAR/GZIP - 11.17 GB)

http://china.xilinx.com/support/download/index.html/content/xilinx/zh/downloadNav/vivado-design-tools.html



2. 开始安装:

▼软件支持的操作系统

2-1.jpg



▼选择版本:System Edition

2-2.jpg



▼只勾选需要的功能和器件支持

2-3.jpg



▼安装总结

2-4.jpg



▼安装进行中。。。

2-5.jpg




▼激活license,安装完成

2-6.jpg

3. 一切就绪,可以进行FPGA开发

▼打开Vivado 2016.2,新建工程

3-1.jpg



▼设置工程名,选择工程文件所在位置

3-2.jpg



▼添加引脚约束文件:7A50T_Master_XDC_PCB_Rev_A_v1_0.xdc(官方提供)

3-3.jpg



▼选择器件时选择Artix-7 50T Board会自动将器件指定到xc7a50tftg256-1,此处显示Artix-7 50T套件,是笔者提前将board_xml文件夹(官方提供)拷贝到了以下目录中:

“<Vivado_install>\Xilinx\Vivado\2016.2\data\boards\board_parts\artix7”

3-4.jpg



▼至此,新工程的框架搭建完毕

3-5.jpg



▼剩下就是按照软件流程进行开发:代码编写、综合、实现、调试

3-6.jpg

最新课程

  • 深入浅出玩儿转FPGA

    本视频基于Xilinx公司的Artix-7FPGA器件以及各种丰富的入门和进阶外设,提供了一些典型的工程实例,帮助读者从FPGA基础知识、逻辑设计概念

  • 从零开始大战FPGA基础篇

    本课程为“从零开始大战FPGA”系列课程的基础篇。课程通俗易懂、逻辑性强、示例丰富,课程中尤其强调在设计过程中对“时序”和“逻辑”的把控,以及硬件描述语言与硬件电路相对应的“

  • Verilog基础及典型数字

    课程中首先会给大家讲解在企业中一般数字电路从算法到流片这整个过程中会涉及到哪些流程,都分别使用什么工具,以及其中每个流程都分别做了