你的位置:EETOP 赛灵思(Xilinx) 社区 >> >> 全部 >> 详细内容

白皮书: 使用 Spartan-7 FPGA 实现总功耗优势

发布者:jackzhang 时间:2017-03-08 13:53:55

ABSTRACT Cost-optimized Spartan®-7 FPGAs leverage the proven 7 series architecture. Built on the 28nm HPL process and enabled by the Vivado® Design Suite to offer the lowest total power for cost-sensitive products, power-efficient Spartan-7 devices reduce system costs even further by reducing power supply and thermal solution costs without compromising best-in-class performance. Spartan-7 FPGAs provide: • Ultra-low standby power, leveraging the 28nm HPL process • More than 50% lower dynamic power vs. Spartan-6 devices • Highly accurate power estimation tools to improve power optimization strategies This white paper examines architecture- and tool-related capabilities for power-optimized Spartan-7 FPGA implementations, while comparing technologies and benchmark results with other silicon platforms.



最新课程

  • 深入浅出玩儿转FPGA

    本视频基于Xilinx公司的Artix-7FPGA器件以及各种丰富的入门和进阶外设,提供了一些典型的工程实例,帮助读者从FPGA基础知识、逻辑设计概念

  • 从零开始大战FPGA基础篇

    本课程为“从零开始大战FPGA”系列课程的基础篇。课程通俗易懂、逻辑性强、示例丰富,课程中尤其强调在设计过程中对“时序”和“逻辑”的把控,以及硬件描述语言与硬件电路相对应的“

  • Verilog基础及典型数字

    课程中首先会给大家讲解在企业中一般数字电路从算法到流片这整个过程中会涉及到哪些流程,都分别使用什么工具,以及其中每个流程都分别做了