你的位置:EETOP 赛灵思(Xilinx) 社区 >> >> 全部 >> 详细内容

【中文】如何使用 AXI 验证 IP 来验证和调试设计

发布者:jackzhang 时间:2017-12-07 16:05:00

观看本视频,了解如何使用赛灵思 AXI 验证 IP 更有效率地验证和调试 AXI 接口设计。同时,本视频还回顾了使用示例设计进行模拟的好处,以及如何使用示例设计的方法。



最新课程

  • 深入浅出玩儿转FPGA

    本视频基于Xilinx公司的Artix-7FPGA器件以及各种丰富的入门和进阶外设,提供了一些典型的工程实例,帮助读者从FPGA基础知识、逻辑设计概念

  • 从零开始大战FPGA基础篇

    本课程为“从零开始大战FPGA”系列课程的基础篇。课程通俗易懂、逻辑性强、示例丰富,课程中尤其强调在设计过程中对“时序”和“逻辑”的把控,以及硬件描述语言与硬件电路相对应的“

  • Verilog基础及典型数字

    课程中首先会给大家讲解在企业中一般数字电路从算法到流片这整个过程中会涉及到哪些流程,都分别使用什么工具,以及其中每个流程都分别做了