你的位置:EETOP 赛灵思(Xilinx) 社区 >> >> 全部 >> 详细内容

在FPGA中实现嵌入式TCP/IP通信协议栈

发布者:jackzhang 时间:2015-08-17 16:56:35

引言
    随着电子信息技术的发展,网络化日益普遍,以太网被广泛应用到各个领域,只要在设备上增加一个网络接口并实现TCP/IP协议,就可以方便地接入到现有的 网络中,完成远程数据传输的相关功能。因此,嵌入式网络技术一直是关注的焦点,目前已经广泛应用于通信、工业控制、消费电子等各个领域。近年来,随着可编 程逻辑器件的飞速发展,人们开始考虑将整个嵌入式系统集成到单片FPGA中实现,其中也包括了以太网的嵌入式片上系统。本文从实际应用出发,以 Xilinx FPGA的软核CPU MicroBlaze IPCore搭建的片上系统(SystemonChip),构建了嵌入式网络硬件开发平台,实现了TCP/IP通信协议栈 LwIP(Lightweight Internet Protocol)在实时操作系统Xilkernel上的移植。详细介绍了其软硬件的系统组成和原理,阐述了FPGA的片上系统配置,及其驱动层和协议栈 层软件的结构,并给出部分关键源码。
1 系统硬件平台设计
    Xilinx为嵌入式网络通信解决方案提供了广泛的组件和资源。Xilinx嵌入式解决方案的一个主要优点是其处理器、IP内核以及软件组件的灵活性和可 扩展能力,其强大的灵活性允许用户根据需要开启或禁止处理器、IP内核以及软件平台的选项和高级功能,并且可以对许多独立参数进行精细调整,直到在软件级 满足应用要求。
    系统采用Xilinx公司的FPGA芯片XC5VFX70T为硬件设计平台,以MicroBlaze软核作为中央处理器,以VHDL硬件描述语言实现协处理功能模块,以片上总线连接MicroBlaze处理器和外围设备(IP)来搭建嵌入式片上系统。
    Xilinx以IBM CoreConnect总线通信链作为嵌入式处理器的片上总线,提供了丰富的接口资源,主要有PLB ( Processor Local Bus,处理器本地总线)接口、高速的LMB(Local Memory Bus,本地存储器总线)接口、FSL(Fast Simplex Link,快速单连接)主从设备接口、XCL(Xilinx Cache Link,Xilinx缓存链路)接口。PLB总线可将外设IP核连接到MicroBlaze系统中,常用在速度要求不高的场合;LMB专门用于实现对片 上块RAM的高速访问;XCL则用于实现对片外存储器的高速访问。FSL是MicroBlaze处理器特有的一个基于FIFO的单向链路,可实现用户自定 义IP核与MicroBlaze内部通用寄存器的点对点直接相连,一般用在传输速度要求较高的场合。
    已实现的系统由MicroBlaze处理器、ILMB总线、DLMB总线、Block RAM、DDR SDRAM、10 /100/1000 Soft TEMAC、GPIO接口、UART16550串口、PLB TIMER定时器,以及基于PLB总线技术的DDC(数字下变频)自定义IPCore和基于FSL总线技术的FFT(快速傅里叶变换)自定义IPCore 组成。设计的系统架构如图1所示,整个系统在Xilinx的EDK开发工具中构建,具有完全的可编程特性,可根据不同任务需求来更改相关的配置参数。

图1 系统架构图
2 系统软件平台设计
2.1 LwIP协议栈
    LwIP是一个开源TCP/IP协议栈,在实现TCP/IP协议栈主要功能的基础上减少了对RAM的占用,一般只需要几十KB的RAM和40 KB左右的ROM就可以运行,因此非常适合在硬件配置较低的嵌入式系统中使用。EDK为LwIP库提供了良好的接口,并为Xilinx公司TEMAC IP核提供了适配器,在EDK工程中方便地应用LwIP协议栈实现网络功能。LwIP具有如下特点:

    ① 支持多网络接口下的IP转发;

    ② 支持ICMP协议;

    ③ 包括实验性扩展的UDP(用户数据报协议);

    ④ 包括阻塞控制、RTT估算以及快速恢复和转发的TCP(传输控制协议);

    ⑤ 提供专门的内部回调接口(Raw API)用于提高应用程序性能;

    ⑥ 可选择的Berkeley接口API(多线程情况下);

    ⑦ 在最新的版本中支持ppp;

    ⑧ 新版本中增加了的IP fragment的支持;

    ⑨ 支持DHCP协议,动态分配IP地址。
    在Xilinx的嵌入式开发工具EDK中,LwIP的版本为lwip130,即LwIP的v1.3.0版本。LwIP库提供了RAW模式和Socket模式两类API函数,前者面向高性能,后者面向通用性,提供一组标准的Socket API接口函数。
2.2 Xilkernel实时操作系统
    Xilkernel是Xilinx公司提供的用于EDK系统的小型、模块化的嵌入式实时操作系统,支持MicroBlaze、PowerPC405以及 PowerPC440处理器。Xilkernel是一种专用的嵌入式操作系统,内核完整,占用CPU资源较少,运行速度快,内核响应时间间隔最短可设置为 1 ms,且与EDK生成的硬件系统紧密结合,具有可定制的特点,支持可移植操作系统接口(POSIX),是中小型设计的理想操作系统。其内部结构如图2所 示。

图2 Xilkernel内部结构示意图
    Xilkernel本身不带有文件处理系统和TCP/IP协议栈,但与LwIP库具有良好的接口,且支持LibXil MFS文件系统库,因此,也可形成较为复杂的嵌入式系统。其具有如下特点:

    ① 内核配置功能都已集成到EDK工具中,使用简单、方便;

    ② 内核启动时,静态创建线程,而动态分配内存;

    ③ 可加载或卸载不同的功能模块来实现内核的扩展性;

    ④ 提供了一套符合POSIX的API用户程序接口函数;

    ⑤ 所有系统调用函数带有参数合法性检查保护,并返回符合POSIX的错误代码。
3 TCP/IP通信协议实现及测试
3.1 Socket应用程序开发
    LwIP Socket API是建立在Xilkernel操作系统多线程任务基础上的,与BSD标准的Socket API非常相似,具有开发难度较小、便于用户调用的特点,可满足一般网络应用的要求。使用LwIP的Socket模式,首先要在初始化线程中调用 lwip_init()函数对LwIP协议栈进行初始化,再使用sys_thread_new()函数来产生一个新的线程,即进入主线程。在主线程中首先 通过xemac_add()函数来添加网络接口,然后为xemacif_input_thread()函数单独开启一个线程,将从中断响应过程中接收到的 数据包移植到LwIP的xemacif_input_thread()函数运行的线程中,该线程在LwIP数据包到达时发出通知,并通过接收中断句柄将数 据存在缓冲中。以下为主线程中添加的网络接口程序代码:

    ……

    struct ip_addr ipaddr, netmask, gw;

    struct netif *netif;

    netif = &server_netif;

    /*初始化IP地址*/

    IP4_ADDR(&gw, 000,000,000,000); //设置网关

    IP4_ADDR(&ipaddr, 192,168,169,110);//设置IP

    IP4_ADDR(&netmask,255,255,255,000); //设置子网IP

    /*加入网络接口,并将其设为默认接口*/

    if(!xemac_add(netif,&ipaddr,&netmask,&gw,fullmac,XPAR_LLTEMAC_0_BASEADDR)){

    xil_printf("Error adding N/W interface\\n\\r");

    return;

    }

    netif_set_default(netif);

    /*启用网络接口*/

    netif_set_up(netif);

    /*启用数据包接收线程*/

    sys_thread_new("xemacif_input_thread",xemacif_input_thread,netif,THREAD_STACKSIZE,MY_THREAD_PRIO);

    /*建立Socket接口*/

    sock = socket(AF_INET,SOCK_STREAM,0);

    addr.sin_family = AF_INET;

    addr.sin_port = htons(6000);

    addr.sin_addr.s_addr = INADDR_ANY;
   
    bind(sock,(struct sockaddr *)&addr,sizeof(addr));

    listen(sock,15);

    while(1){
   
    s = accept(sock,(struct sockaddr*)&rem,&len);

    ……

    }
    通过上述步骤后,就可使用Socket编程建立网络服务器。
3.2 网络数据传输性能测试
    使用RJ45交叉以太网线将Xilinx XC5VFX70T开发板和PC机直连。PC机作为TCP/IP的客户端,Xilinx XC5VFX70T开发板作为TCP/IP的服务端,客户端经连接响应后,高速向服务器端连续发TCP包。服务端接收到数据后计算接收到的数据总量,不做 其他处理。使用iperf网络测试软件测试系统网络直接发送数据的性能。
    Socket模式下网络发送速率为27.2 Mb/s,其iperf服务器端显示结果如图3所示。

图3 LwIP协议栈发送数据速率测试结果
    为了检测本文开发的应用程序中数据发送的速率及其准确度,在计算机端使用LabView软件开发网络服务客户端接收本系统的数据,并将数据记录为DAT文 件,使用多特NetPerSec网络测试软件测试网络的当前速率和平均速率。在写数据速率为24.1 Mb/s时,NetPerSec显示网络接收平均速率为24.8 Mb/s;记录的DAT文件显示图形中无跳变点,数据无丢失,NetPerSec截图及DAT文件显示图形如图4所示。

图4 NetPerSec网络数据流量统计及线性数据正确性测试

    写数据速率为24.3 Mb/s时,NetPerSec显示网络接收平均速率为25.4 Mb/s;记录的DAT数据显示图形中间出现跳变点,说明有数据包丢失,NetPerSec截图及DAT数据显示图形如图5所示。

图5 NetPerSec网络数据流量统计及数据丢包测试
    测试结果表明,使用LwIP协议栈Socket模式进行网络数据传输,可实现网速为24.8 Mb/s、接收侦察信号带宽为750 kHz的稳定数据传输。
结语
    本文研究了TCP/IP通信协议在Xilinx公司FPGA上的实现,介绍了其软硬件的系统组成及原理。其功能可根据需要进行定制,不但引入了软核处理器 和嵌入式操作系统Xilkernel,而且应用了LwIP TCP/IP协议栈,同时使用了大量的IP核,系统构建非常灵活。其软硬件部分的设计采用分离的设计架构,使得整个系统修改和重构更加方便,真正实现了嵌 入式片上系统。

最新课程

  • 深入浅出玩儿转FPGA

    本视频基于Xilinx公司的Artix-7FPGA器件以及各种丰富的入门和进阶外设,提供了一些典型的工程实例,帮助读者从FPGA基础知识、逻辑设计概念

  • 从零开始大战FPGA基础篇

    本课程为“从零开始大战FPGA”系列课程的基础篇。课程通俗易懂、逻辑性强、示例丰富,课程中尤其强调在设计过程中对“时序”和“逻辑”的把控,以及硬件描述语言与硬件电路相对应的“

  • Verilog基础及典型数字

    课程中首先会给大家讲解在企业中一般数字电路从算法到流片这整个过程中会涉及到哪些流程,都分别使用什么工具,以及其中每个流程都分别做了