你的位置:EETOP 赛灵思(Xilinx) 社区 >> >> 全部 >> 详细内容

Vivado中ROM/RAM IP核的使用

发布者:jackzhang 时间:2015-08-30 19:57:31

添加IP核

点击Flow Navigator中的IP Catalog,打开窗口添加IP核。

7c5ce3d4-b4a4-4818-9ef1-ef6b2deb173c.png

Block Memory为块存储设备,这里需要的是Distributed Memory Generator

参数设置

设置参数:

  • Component Name:生成的IP核模块名
  • Depth:存储深度,即数据点数目
  • DataWidth:数据位宽,即每个数据点的位数
  • Memory Type:ROM,单口RAM,简化的双口RAM(一端读一端写),真双口RAM(两端都可读写)

ROM的初始化

RST & Initialization:使用coe文件可以给ROM输入初值,格式如下:

  1. MEMORY_INITIALIZATION_RADIX=2;
  2. MEMORY_INITIALIZATION_VECTOR=
  3. 1000000000,
  4. 1000001100,
  5. 1000011001,
  6. 1000100101,
  7. 1000110010,
  8. 1000111110,
  9. 1001001011;

可以直接用文本编辑器写好COE文件(ISE和Vivado通用),第一个参数为进制,第二个为数据。COE文件最后会生成MIF文件用于初始化ROM。

调用方法

调用方法请参考

《Vivado的使用详细介绍:使用IP核》
http://xilinx.eetop.cn/viewnews-2468

最新课程

  • 深入浅出玩儿转FPGA

    本视频基于Xilinx公司的Artix-7FPGA器件以及各种丰富的入门和进阶外设,提供了一些典型的工程实例,帮助读者从FPGA基础知识、逻辑设计概念

  • 从零开始大战FPGA基础篇

    本课程为“从零开始大战FPGA”系列课程的基础篇。课程通俗易懂、逻辑性强、示例丰富,课程中尤其强调在设计过程中对“时序”和“逻辑”的把控,以及硬件描述语言与硬件电路相对应的“

  • Verilog基础及典型数字

    课程中首先会给大家讲解在企业中一般数字电路从算法到流片这整个过程中会涉及到哪些流程,都分别使用什么工具,以及其中每个流程都分别做了