你的位置:EETOP 赛灵思(Xilinx) 社区 >> >> 全部 >> 详细内容

Xilinx FPGA入门连载2:Modelsim SE 10.1安装

发布者:jackzhang 时间:2015-12-16 14:36:20

1安装文件拷贝与解压缩


ModelsimSE压缩包(在ISE-2光盘中)拷贝到用户本地PC的一个文件夹下面。


也可以到SP6共享网盘(链接http://pan.baidu.com/s/1jGjAhEm)下的software文件夹下载ModelsimSE的安装包。


右键单击选择“解压到当前文件夹”。


解压缩后,进入ModelsimSE文件夹,如图所示。

2.jpg



2 ModelsimSE安装


双击“modelsim-win32-10.1a-se”,开始安装。首先出现如下安装界面,点击“Next”继续。

3.jpg



随后弹出如下图所示界面,可以选择安装目录,建议使用默认的“C:\modeltech_10.1a”路径,点击“Next”继续。

4.jpg



接着会弹出如图所示的提示,点击“Yes”继续。

5.jpg



点击“Agree”开始安装。

6.jpg



安装过程中,弹出如下所示的窗口,点击“Yes”继续。

7.jpg




安装过程中,还会弹出如下所示的窗口,点击“Yes”继续。

8.jpg



安装完成,弹出如下所示界面,点击“Yes”,虽然这个Hardware SecurityKey可能(或肯定)我们用不到。

9.jpg



安装的最后,如图所示,提示我们重启电脑,那就“Yes”吧。

10.jpg



重启电脑后,看到我们的电脑桌面上出现了如图所示的Modelsim图标。

11.jpg



在开始程序菜单中也出现了Modelsim的文件夹。

12.jpg



此时,若是急性子的你尝试打开Modelsim,一定会如图所示——无功而返。别着急,下一节我们马上来破解。

13.jpg


最新课程

  • 深入浅出玩儿转FPGA

    本视频基于Xilinx公司的Artix-7FPGA器件以及各种丰富的入门和进阶外设,提供了一些典型的工程实例,帮助读者从FPGA基础知识、逻辑设计概念

  • 从零开始大战FPGA基础篇

    本课程为“从零开始大战FPGA”系列课程的基础篇。课程通俗易懂、逻辑性强、示例丰富,课程中尤其强调在设计过程中对“时序”和“逻辑”的把控,以及硬件描述语言与硬件电路相对应的“

  • Verilog基础及典型数字

    课程中首先会给大家讲解在企业中一般数字电路从算法到流片这整个过程中会涉及到哪些流程,都分别使用什么工具,以及其中每个流程都分别做了