你的位置:EETOP 赛灵思(Xilinx) 社区 >> >> 全部 >> 详细内容

Kickstarter众筹:超低价Xilinx Spartan6入门开发套件

发布者:jackzhang 时间:2016-04-13 11:28:49

作者:Kenshin

想学习FPGA,Verilog/VHDL?现在你的福利来了!

如果你正在寻找一款适合初学者的FPGA开发板,一款采用Xilinx Spartan6芯片的开发套件Spartixed正在Kickstarter上进行众筹,现在价格仅为29美元,与一个树莓派开发板价格相当,可以帮助你学习Verilog/VHDL硬件编程语言,了解FPGA开发流程等,下面我们就来详细介绍一下这款精致的FPGA开发板。

图1 Spartixed FPGA开发套件

图1 Spartixed FPGA开发套件

这款Spartixed FPGA开发板卡采用Xilinx Spartan6 LX4-144Pin芯片,逻辑资源3840LUT,内部存储空间216Kb,DSP Slices为8个,我觉得对于初学者学习基本编程以及外设控制是足够了,可以直接采用DC12V或者5V USB转串口接口给这块板卡供电,同时它还提供了丰富的外设资源,帮助初学者打好基础。

图2 Spartixed系统设计框架

图2 Spartixed系统设计框架

详细外设资源列表如下:

  • 3个数7段数码管和3个按键开关
  • 当然只有块板子对于初学者还是不够的,设计者同时还提供了丰富的编程示例(见下文链接),并且正在不断更新丰富。最后介绍下众筹情况,设计者目标众筹资金35000美元,现在是653美元,才刚刚开始,还剩下45天时间,众筹分为三种类型:
    1. 捐赠任意资金,表示支持
    2. 29美元,包含Spartixed开发板一块以及丰富的开发示例
    3. 34美元,不仅包含以上内容还提供DC 12V电源适配器

    目前设计者正在做一些调试和优化修改,同时丰富开发Demo,并保证所有众筹订单都会在2016年2月至3月发出。

    链接:
    Spartixed众筹网址:
    https://www.kickstarter.com/projects/1728237598/spartixed-fpga-board-to-...

    Spartixed开发Demo以源码:
    http://referencedesigner.com/

  • 8个滑动开关和USB转串口接口
  • I2C EEPROM和SPI EEPROM(可以学习I2C和SPI总线)
  • 1个ADC转换器和2个通用LED,以及电源指示LED和编程配置完成LED
  • 16Mb Flash
  • 最新课程

    • 深入浅出玩儿转FPGA

      本视频基于Xilinx公司的Artix-7FPGA器件以及各种丰富的入门和进阶外设,提供了一些典型的工程实例,帮助读者从FPGA基础知识、逻辑设计概念

    • 从零开始大战FPGA基础篇

      本课程为“从零开始大战FPGA”系列课程的基础篇。课程通俗易懂、逻辑性强、示例丰富,课程中尤其强调在设计过程中对“时序”和“逻辑”的把控,以及硬件描述语言与硬件电路相对应的“

    • Verilog基础及典型数字

      课程中首先会给大家讲解在企业中一般数字电路从算法到流片这整个过程中会涉及到哪些流程,都分别使用什么工具,以及其中每个流程都分别做了