你的位置:EETOP 赛灵思(Xilinx) 社区 >> 文章 >> 全部

  • 过去十年来,车载网络架构变得越来越复杂。虽然车载网络协议的数量有所减少,但实际部署的网络数量却有显著增加。这就提出了网络架构的可缩放性问题,并且要求为满足各种应用和网络的实际需要而

    作者:jackzhang 时间:2010-08-30
  • 随着数字融合的进一步发展,系统的设计和实现需要更大的灵活性,以解决将完全不同的标准和要求整合为同类产品时引发的诸多问题。本文介绍FPGA在视频处理中的应用,与ASSP和芯片组解决方案相比,F

    作者:jackzhang 时间:2010-08-30
  • 介绍 电机在现代生活中扮演着重要角色。出于对安全、成本及效率的考虑,工程师——尤其是混合电动力汽车(HEV)工程师——往往希望在特定的真实环境下通过仿真电机模型对电机控制器进行测试。 由于在经济及环境等方

    作者:jackzhang 时间:2010-08-30
  • 众多的行业标准、规格尺寸、频率和频谱所有权都在推动对商业无线的灵活性要求不断提升。Xilinx®多模无线目标设计平台这是面向了高吞吐量、带有域优化FPGAs、IP构建块、设计工具、参考设计和开发板的信号处理密

    作者:jackzhang 时间:2010-08-30
  • 摘 要: 介绍了基于FPGA实现VLIW微处理器的基本方法,对VLIW微处理器具体划分为5个主要功能模块。依据FPGA的设计思想,采用自顶向下和文本与原理图相结合的流水线方式的设计方法,进行VLIW微处理器的5个模块功能

    作者:jackzhang 时间:2010-08-30
  • 满足下一代无线基站设计的灵活性和可扩展性Xilinx® FPGAs是处理无线基站设计的理想平台,可以满足不断提高的技术和商业要求。 其具有固有的可扩展性和可重构性,可以降低在动态的市场中需要进行昂贵的重新设计

    作者:jackzhang 时间:2010-08-29
  • 介绍电机在现代生活中扮演着重要角色。出于对安全、成本及效率的考虑,工程师——尤其是混合电动力汽车(HEV)工程师——往往希望在特定的真实环境下通过仿真电机模型对电机控制器进行测试。 由于在经济及环境等方面展

    作者:jackzhang 时间:2010-08-29
  • 目前,随着多媒体应用的普及,千兆位以太网已经发展成为主流网络技术。大到成千上万人的大型企业,小到几十人的中小型企业,在建设企业局域网时都会把千兆位以太网技术作为首选的高速网络技术。千兆位以太网技术甚至

    作者:jackzhang 时间:2010-08-19
  • 电荷耦合器件(CCD)作为新兴的固体成像器件即图像传感器,具有体积小,重量轻,分辨力高,噪声低,自扫描,工作速度快,灵敏度高,可靠性好等优点,受到人们的高度重视,广泛应用于图像传感、景物识别、非接触无损检

    作者:jackzhang 时间:2010-08-18
  • 众多的行业标准、规格尺寸、频率和频谱所有权都在推动对商业无线的灵活性要求不断提升。Xilinx®多模无线目标设计平台这是面向了高吞吐量、带有域优化FPGAs、IP构建块、设计工具、参考设计和开发板的信号处理密集

    作者:jackzhang 时间:2010-08-18
  • CCSDS图像数据压缩标准中采用9/7整形离散小波变换为核心算法,该算法结构简单,易于硬件设计实现。文中基于FPGA设计实现了9/7整数离散小波变换系统,设计中使用内部RAM存储方式,减小了对存储器的需求量,同时采用

    作者:jackzhang 时间:2010-08-18
  • 作者:Csaba Rekeczky, Eutecus公司联合首席技术官兼副总裁, rcsaba@eutecus.comJoe Mallett,赛灵思公司高级产品线经理, jmallett@xilinx.comAkos Zarandy,Eutecus公司联合首席技术官兼副总裁, zarandy@

    作者:jackzhang 时间:2010-08-18

最新课程

  • 深入浅出玩儿转FPGA

    本视频基于Xilinx公司的Artix-7FPGA器件以及各种丰富的入门和进阶外设,提供了一些典型的工程实例,帮助读者从FPGA基础知识、逻辑设计概念

  • 从零开始大战FPGA基础篇

    本课程为“从零开始大战FPGA”系列课程的基础篇。课程通俗易懂、逻辑性强、示例丰富,课程中尤其强调在设计过程中对“时序”和“逻辑”的把控,以及硬件描述语言与硬件电路相对应的“

  • Verilog基础及典型数字

    课程中首先会给大家讲解在企业中一般数字电路从算法到流片这整个过程中会涉及到哪些流程,都分别使用什么工具,以及其中每个流程都分别做了